Regular Papers

Analog-to-Digital Converter Design for Diverse Performance Computing-in-Memory Systems: A Comprehensive Review

  • SHUAI XIAO 1 ,
  • FUYI LI 2, 3 ,
  • TING HAO 2, 3 ,
  • LANXIANG XIAO 2, 3 ,
  • MANLIN XIAO , 1 ,
  • WEI MAO , 2, 3 ,
  • GENQUAN HAN 2, 3
Expand
  • 1 School of Urban Railway Transportation, Shanghai University of Engineering Science, Shanghai 201620, China
  • 2 Hangzhou Institute of Technology, Xidian University, Hangzhou 311200, China
  • 3 School of Microelectronics, Xidian University, Xi’an 710071, China
Wei Mao (e-mail: )
Manlin Xiao (e-mail: ).

WEI MAO(Senior Member, IEEE);

GENQUAN HAN (Senior Member, IEEE)

Received date: 2025-03-28

  Revised date: 2025-05-11

  Accepted date: 2025-05-14

  Online published: 2025-10-22

Supported by

Zhejiang Provincial Natural Science Foundation of China under Grant(LQN25F040002)

Proof of Concept Foundation of Xidian University Hangzhou Institute of Technology under Grant(GNYZ2024JC004)

National Key Research and the Postdoctoral Fellowship Program of CPSF under Grant(GZC20241305)

Abstract

Computing-in-Memory (CIM) architectures have emerged as a pivotal technology for nextgeneration artificial intelligence (AI) and edge computing applications. By enabling computations directly within memory cells, CIM architectures effectively minimize data movement and significantly enhance energy efficiency. In the CIM system, the analog-to-digital converter (ADC) bridges the gap between efficient analog computation and general digital processing, while influencing the overall accuracy, speed and energy efficiency of the system. This review presents theoretical analyses and practical case studies on the performance requirements of ADCs and their optimization methods in CIM systems, aiming to provide ideas and references for the design and optimization of CIM systems. The review comprehensively explores the relationship between the design of CIM architectures and ADC optimization, and raises the issue of design trade-offs between low power consumption, high speed operation and compact integration design. On this basis, novel customized ADC optimization methods are discussed in depth, and a large number of current CIM systems and their ADC optimization examples are reviewed, with optimization methods summarized and classified in terms of power consumption, speed, and area. In the final part, this review analyzes energy efficiency, ENOB, and frequency scaling trends, demonstrating how advanced processes enable ADCs to balance speed, power, and area trade-offs, guiding ADC optimization for next-gen CIM systems.

Cite this article

SHUAI XIAO , FUYI LI , TING HAO , LANXIANG XIAO , MANLIN XIAO , WEI MAO , GENQUAN HAN . Analog-to-Digital Converter Design for Diverse Performance Computing-in-Memory Systems: A Comprehensive Review[J]. Integrated Circuits and Systems, 2025 , 2(2) : 81 -92 . DOI: 10.23919/ICS.2025.3571019

[1]
B. Murmann, “Mixed-signal computing for deep neural network inference,” IEEE Trans. Very Large Scale Integr. Syst., vol. 29, no. 1, pp. 3-13, Jan. 2021.

[2]
N. Verma et al., “In-memory computing: Advances and prospects,” IEEE Solid-State Circuits Mag., vol. 11, no. 3, pp. 43-55, Summer 2019.

[3]
C.-J. Jhang, C.-X. Xue, J.-M. Hung, F.-C. Chang, and M.-F. Chang, “Challenges and trends of SRAM-based computing-in-memory for ai edge devices,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 68, no. 5, pp. 1773-1786, May 2021.

[4]
X. Si et al., “24.5 a twin-8T SRAM computation-in-memory macro for multiple-bit CNN-based machine learning,” in Proc. IEEE Int. Solid- State Circuits Conf., 2019, pp. 396-398.

[5]
S. Jain, L. Lin, and M. Alioto, “CIM SRAM for signed in-memory broad-purpose computing from DSP to neural processing,” IEEE J. Solid-State Circuits, vol. 56, no. 10, pp. 2981-2992, Oct. 2021.

[6]
A. Biswas and A. P. Chandrakasan, “CONV-SRAM: An energyefficient SRAM with in-memory dot-product computation for lowpower convolutional neural networks,” IEEE J. Solid-State Circuits, vol. 54, no. 1, pp. 217-230, Jan. 2019.

[7]
R. Sehgal, T. Thareja, S. Xie, C. Ni, and J. P. Kulkarni, “A bitserial, compute-in-SRAM design featuring hybrid-integrating ADCS and input dependent binary scaled precharge eliminating DACS for energy-efficient DNN inference,” IEEE J. Solid-State Circuits, vol. 58, no. 7, pp. 2109-2124, Jul. 2023.

[8]
H. Wang, R. Liu, R. Dorrance, D. Dasalukunte, D. Lake, and B. Carlton, “A charge domain SRAM compute-in-memory macro with C-2C ladder-based 8-bit MAC unit in 22-nm FinFET process for edge inference,” IEEE J. Solid-State Circuits, vol. 58, no. 4, pp. 1037-1050, Apr. 2023.

[9]
T. P. Xiao et al., “On the accuracy of analog neural network inference accelerators,” IEEE Circuits Syst. Mag., vol. 22, no. 4, pp. 26-48, Fourthquarter 2022.

[10]
S. K. Gonugondla, C. Sakr, H. Dbouk, and N. R. Shanbhag, “Fundamental limits on the precision of in-memory architectures,” in Proc. IEEE/ACM Int. Conf. On Comput. Aided Des., 2020, pp. 1-9.

[11]
A. S. Rekhi et al., “Analog/mixed-signal hardware error modeling for deep learning inference,” in Proc. 56th ACM/IEEE Des. Automat. Conf., 2019, pp. 1-6.

[12]
T.-J. Yang and V. Sze, “Design considerations for efficient deep neural networks on processing-in-memory accelerators,” in Proc. IEEE Int. Electron Devices Meeting, 2019, pp. 22.1.1-22.1.4.

[13]
H. Jiang, W. Li, S. Huang, S. Cosemans, F. Catthoor, and S. Yu, “Analog-to-digital converter design exploration for compute-inmemory accelerators,” IEEE Des. Test, vol. 39, no. 2, pp. 48-55, Apr. 2022.

[14]
Z. Chen, M. Wu, Y. Zhou, R. Li, J. Tan, and D. Ding, “PUF-CIM: SRAM-based compute-in-memory with zero bit-error-rate physical unclonable function for lightweight secure edge computing,” IEEE Trans. Very Large Scale Integr. Syst., vol. 31, no. 8, pp. 1234-1247, Aug. 2023.

[15]
M. Chi, “Application study of simulated annealing solver and CIM simulator based on QUBO model using kaiwu SDK,” in Proc. Int. Conf. Electron. Devices, Comput. Sci., 2024, pp. 902-907.

[16]
S. Xie, S. R. S. Raman, C. Ni, M. Wang, M. Yang, and J. P. Kulkarni, “Ising-CIM: A reconfigurable and scalable compute within memory analog ising accelerator for solving combinatorial optimization problems,” IEEE J. Solid-State Circuits, vol. 57, no. 11, pp. 3453-3465, Nov. 2022.

[17]
W.-H. Chen et al., “A 65 nm 1 Mb nonvolatile computing-in-memory ReRAM macro with sub-16 NS multiply-and-accumulate for binary DNN AI edge processors,” in Proc. IEEE Int. Solid-State Circuits Conf., 2018, pp. 494-496.

[18]
Q. Liu et al., “33.2 a fully integrated analog ReRAM based 78.4 TOPS/W compute-in-memory chip with fully parallel MAC computing,” in Proc. IEEE Int. Solid-State Circuits Conf., 2020, pp. 500-502.

[19]
C.-X. Xue et al., “Embedded 1-Mb ReRAM-based computing-in- memory macro with multibit input and weight for CNN-based ai edge processors,” IEEE J. Solid-State Circuits, vol. 55, no. 1, pp. 203-215, Jan. 2020.

[20]
Z. Ma, X. Hu, Y. Wang, G. Wen, X. Zeng, and Y. Xie, “A 40 nm 150 TOPS/W high row-parallel MRAM compute-in-memory macro with series 3T1MTJ bitcell for MAC operation,” in Proc. IEEE Int. Symp. Circuits Syst., 2023, pp. 1-5.

[21]
H. Zhang et al., “HD-CIM: Hybrid-device computing-in-memory structure based on MRAM and SRAM to reduce weight loading energy of neural networks,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 69, no. 11, pp. 4465-4474, Nov. 2022.

[22]
A. Musello, E. Garzón, M. Lanuzza, L. M. Prócel, and R. Taco„ “XNOR-bitcount operation exploiting computing-in-memory with STT-MRAMs,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 70, no. 3, pp. 1259-1263, Mar. 2023.

[23]
H. Jia et al., “15.1 a programmable neural-network inference accelerator based on scalable in-memory computing,” in Proc. IEEE Int. Solid-State Circuits Conf., vol. 64, 2021, pp. 236-238.

[24]
J. Lee, H. Valavi, Y. Tang, and N. Verma, “Fully row/column-parallel inmemory computing SRAM macro employing capacitor-based mixedsignal computation with 5-b inputs,” in Proc. Symp. VLSI Technol., 2021, pp. 1-2.

[25]
C.-Y. Yao, T.-Y. Wu, H.-C. Liang, Y.-K. Chen, and T.-T. Liu, “A fully bit-flexible computation in memory macro using multi-functional computing bit cell and embedded input sparsity sensing,” IEEE J. Solid- State Circuits, vol. 58, no. 5, pp. 1487-1495, May 2023.

[26]
K. Ueyoshi et al., “DIANA: An end-to-end energy-efficient digital and analog hybrid neural network SOC,” in Proc. IEEE Int. Solid-State Circuits Conf., vol. 65, 2022, pp. 1-3.

[27]
Y. Li, L. Du, and Y. Du,“A column-parallel time-interleaved SAR/SS ADC for computing in memory with 2-8 bit reconfigurable resolution,” in Proc. IEEE 5th Int. Conf. Artif. Intell. Circuits Syst., 2023, pp. 1-5.

[28]
W. Fan, Y. Li, L. Du, L. Li, and Y. Du, “A 3-8 bit reconfigurable hybrid ADC architecture with successive-approximation and single-slope stages for computing in memory,” in Proc. IEEE Int. Symp. Circuits Syst., 2022, pp. 3393-3397.

[29]
W. Mao, Y. Li, C.-H. Heng, and Y. Lian, “A low power 12-bit 1-kS/s SAR ADC for biomedical signal processing,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 66, no. 2, pp. 477-488, Feb. 2019.

[30]
H.-J. Kim, “11-bit column-parallel single-slope ADC with first-step half-reference ramping scheme for high-speed CMOS image sensors,” IEEE J. Solid-State Circuits, vol. 56, no. 7, pp. 2132-2141, Jul. 2021.

[31]
X. Tang et al., “Low-power SAR ADC design: Overview and survey of state-of-the-art techniques,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 69, no. 6, pp. 2249-2262, Jun. 2022.

[32]
S. Cheon, K. Lee, and J. Park, “A 2941-TOPS/W charge-domain 10T SRAM compute-in-memory for ternary neural network,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 70, no. 5, pp. 2085-2097, May 2023.

[33]
S. Yin, Z. Jiang, J.-S. Seo, and M. Seok, “XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks,” IEEE J. Solid-State Circuits, vol. 55, no. 6, pp. 1733-1743, Jun. 2020.

[34]
I. Yeo, W. He, Y.-C. Luo, S. Yu, and J.-S. Seo, “A dynamic power-only compute-in-memory macro with power-of-two nonlinear SAR ADC for nonvolatile ferroelectric capacitive crossbar array,” IEEE Solid-State Circuits Lett., vol. 7, pp. 70-73, 2024.

[35]
Y. Fu et al., “Flex-CIM: A flexible Kernel size 1-GHz 181.6-TOPS/W 25.63-TOPS/mm2 analog compute-in-memory macro,” IEEE J. Solid- State Circuits, vol. 59, no. 9, pp. 3021-3031, Sep. 2024.

[36]
C. Yu, T. Yoo, K. T. C. Chai, T. T.-H. Kim, and B. Kim, “A 65-nm 8T SRAM compute-in-memory macro with column ADCs for processing neural networks,” IEEE J. Solid-State Circuits, vol. 57, no. 11, pp. 3466-3476, Nov. 2022.

[37]
S. Kim et al., “16.5 DynaPlasia: An eDRAM in-memory-computingbased reconfigurable spatial accelerator with triple-mode cell for dynamic resource switching,” in Proc. IEEE Int. Solid-State Circuits Conf., 2023, pp. 256-258.

[38]
W. Mao et al., “A 28-nm 135.19 TOPS/W bootstrapped-SRAM compute-in-memory accelerator with layer-wise precision and sparsity,” IEEE Trans. Circuits Syst. I, Reg. Papers, 2024, to be published, doi: 10.1109/TCSI.2024.3486322.

[39]
D. Liu et al., “An energy-efficient mixed-bit CNN accelerator with column parallel readout for ReRAM-based in-memory computing,” IEEE Trans. Emerg. Sel. Topics Circuits Syst., vol. 12, no. 4, pp. 821-834, Dec. 2022.

[40]
P.-C. Wu et al., “An 8B-precision 6T SRAM computing-in-memory macro using time-domain incremental accumulation for AI edge chips,” IEEE J. Solid-State Circuits, vol. 59, no. 7, pp. 2297-2309, Jul. 2024.

[41]
A. Guo et al., “34.3 a 22 nm 64 kb lightning-like hybrid computingin- memory macro with a compressed adder tree and analog-storage quantizers for transformer and CNNs,” in Proc. IEEE Int. Solid-State Circuits Conf., vol. 67, 2024, pp. 570-572.

[42]
B. Wang et al., “A 28 nm horizontal-weight-shift and vertical-featureshift- based separate-wl 6T-SRAM computation-in-memory unit-macro for edge depthwise neural-networks,” in Proc. IEEE Int. Solid-State Circuits Conf., 2023, pp. 134-136.

[43]
K. Xiao, X. Cui, X. Qiao, X. Wang, and Y. Wang, “A reconfigurable SRAM computing-in-memory macro supporting ping-pong operation and CIM pipeline for multi-mode MAC operations,” in Proc. IEEE Int. Conf. Integr. Circuits, Technol. Appl., 2022, pp. 182-183.

[44]
H.-H. Hsu et al., “A nonvolatile AI-edge processor with SLC-MLC hybrid ReRAM compute-in-memory macro using current-voltagehybrid readout scheme,” IEEE J. Solid-State Circuits, vol. 59, no. 1, pp. 116-127, Jan. 2024.

[45]
S. Yin, X. Sun, S. Yu, and J.-S. Seo, “High-throughput in-memory computing for binary deep neural networks with monolithically integrated RRAM and 90-nm CMOS,” IEEE Trans. Electron Devices, vol. 67, no. 10, pp. 4185-4192, Oct. 2020.

[46]
M. E. Sinangil et al., “A 7-nm compute-in-memory SRAM macro supporting multi-bit input, weight and output and achieving 351 TOPS/W and 372.4 GOPS,” IEEE J. Solid-State Circuits, vol. 56, no. 1, pp. 188-198, Jan. 2021.

[47]
K. Lee, J. Kim, and J. Park, “A 28-nm 50.1-TOPS/W P-8T SRAM compute-in-memory macro design with BL charge-sharing-based in- SRAM DAC/ADC operations,” IEEE J. Solid-State Circuits, vol. 59, no. 6, pp. 1926-1937, Jun. 2024.

[48]
K. Yoshioka, “A 818-4094 TOPS/W capacitor-reconfigured analog CIM for unified acceleration of CNNs and transformers,” IEEE J. Solid- State Circuits, vol. 60, no. 5, pp. 1844-1855, May 2025.

[49]
L. Wang et al., “34.9 a flash-SRAM-ADC-fused plastic computing-inmemory macro for learning in neural networks in a standard 14 nm FinFET process,” in Proc. IEEE Int. Solid-State Circuits Conf., vol. 67, 2024, pp. 582-584.

[50]
Z. Chen et al., “CAP-RAM: A charge-domain in-memory computing 6T-SRAM for accurate and precision-programmable CNN inference,” IEEE J. Solid-State Circuits, vol. 56, no. 6, pp. 1924-1935, Jun. 2021.

[51]
A. Singh, M. A. Lebdeh, A. Gebregiorgis, R. Bishnoi, R. V. Joshi, and S. Hamdioui, “SRIF: Scalable and reliable integrate and fire circuit ADC for memristor-based CIM architectures,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 68, no. 5, pp. 1917-1930, May 2021.

[52]
B. Zhang et al., “MACC-SRAM: A multistep accumulation capacitorcoupling in-memory computing SRAM macro for deep convolutional neural networks,” IEEE J. Solid-State Circuits, vol. 59, no. 6, pp. 1938-1949, Jun. 2024.

[53]
Y. Chen et al., “Samba: Single-ADC multi-bit accumulation computein- memory using nonlinearity- compensated fully parallel analog adder tree,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 70, no. 7, pp. 2762-2773, Jul. 2023.

[54]
W. Mao et al., “A low-power charge-domain bit-scalable readout system for fully-parallel computing-in-memory accelerators,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 71, no. 6, pp. 2916-2920, Jun. 2024.

[55]
Q. a. Zang et al., “282-to-607 TOPS/W, 7T-SRAM based CIM with reconfigurable column SAR ADC for neural network processing,” in Proc. IEEE Int. Symp. Circuits Syst., 2023, pp. 1-5.

[56]
J. Liu et al., “RS-CIM: A charge domain compute-in-memory architecture with resolution shifting ADC for intelligent perception edge nodes,” in Proc. 8th Int. Conf. Integr. Circuits Microsyst., 2023, pp. 670-675.

[57]
X. Xu, Y. Shui, and A. Wang,“A 0.0025 mm 2 8-bit 70 ms/s SAR ADC with a linearity-improved bootstrapped switch for computation in memory,” in Proc. 8th Int. Conf. Integr. Circuits Microsyst., 2023, pp. 412-416.

[58]
Y. Ma, X. Yu, Z. Tan, and L. Zhao, “An SRAM compute-in-memory macro based on direct coupling SAR ADC and DAC reuse,” in Proc. IEEE Int. Symp. Circuits Syst., 2024, pp. 1-5.

[59]
J. Kim, Y. H. Oh, H. Kim, J. W. Lee, and J. Kim, “A 4-bit 4.5-NSlatency pseudo-ReRAM computing-in-memory macro with self errorcorrecting DTC-based WL drivers and 6-bit CDAC-less column ADCs having ultra-narrow pitch,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 70, no. 9, pp. 3228-3232, Sep. 2023.

[60]
W. Li, X. Sun, H. Jiang, S. Huang, and S. Yu,“A 40 nm RRAM compute-in-memory macro featuring on-chip write-verify and offsetcancelling ADC references,” in Proc. IEEE 51st Eur. Solid-State Device Res. Conf., 2021, pp. 79-82.

[61]
C.-T. Huang, Y.-C. Chuang, M.-G. Lin, and A.-Y. A. Wu, “Automated quantization range mapping for DAC/ADC non-linearity in computing-in-memory,” in Proc. IEEE Int. Symp. Circuits Syst., 2022, pp. 2998-3002.

[62]
K. Xiao et al., “A 28 nm 32 kb SRAM computing-in-memory macro with hierarchical capacity attenuator and input sparsity-optimized ADC for 4b MAC operation,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 70, no. 6, pp. 1816-1820, Jun. 2023.

[63]
K. Zhang et al., “A novel 9T1C-SRAM compute-in-memory macro with count-less pulse-width modulation input and ADC-less chargeintegration- count output,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 70, no. 10, pp. 3944-3953, Oct. 2023.

[64]
H.-H. Hsu et al., “A 22 nm floating-point ReRAM compute-in-memory macro using residue-shared ADC for AI edge device,” IEEE J. Solid- State Circuits, vol. 60, no. 1, pp. 171-183, Jan. 2025.

[65]
Z. Guo, D. Chen, and X. Xue, “Algorithm/hardware co-design configurable SAR ADC with low power for computing-in-memory in 28 nm CMOS,” in Proc. IEEE 14th Int. Conf. ASIC, 2021, pp. 1-4.

[66]
P. He et al., “A 28 nm 314.6TLFOPS/W reconfigurable floating-point analog compute-in-memory macro with exponent approximation and two-stage sharing TD-ADC,” in Proc. IEEE Custom Integr. Circuits Conf., 2024, pp. 1-2.

[67]
Y. Luo, J. Vanderhaegen, O. Rybakov, M. Kraemer, N. Warren, and S. Yu, “A FeFET-based ADC offset robust compute-in-memory architecture for streaming keyword spotting (KWS),” IEEE Trans. Emerg. Topics Comput., vol. 12, no. 1, pp. 23-34, Jan.-Mar. 2024.

[68]
Y.-J. Jo, B. P. Yap, D.-H. Yoon, H. Kim, Y. Zheng, and T. T.-H. Kim, “DenseCIM: Binary weighted-capacitor SRAM computationin- memory with column-by-column dynamic range calibration SAR ADC,” in Proc. IEEE Custom Integr. Circuits Conf., 2023, pp. 1-2.

[69]
A. Shi et al., “Specific ADC of NVM-based computation-in-memory for deep neural networks,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 71, no. 12, pp. 5387-5399, Dec. 2024.

[70]
E. Kim, H. Oh, N. Kang, J. Park, and J.-J. Kim, “A capacitive computing-in-memory circuit with low input loading SRAM bitcell and adjustable ADC input range,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 70, no. 9, pp. 3268-3272, Sep. 2023.

[71]
B. Yan et al., “A 1.041-mb/mm2 27.38-tops/w signed-INT8 dynamiclogic- based ADC-less SRAM compute-in-memory macro in 28 nm with reconfigurable bitwise operation for AI and embedded applications,” in Proc. IEEE Int. Solid-State Circuits Conf., vol. 65, 2022, pp. 188-190.

[72]
C. Yu, H. Jiang, J. Mu, K. T. C. Chai, T. T.-H. Kim, and B. Kim, “A dual 7T SRAM-based zero-skipping compute- in-memory macro with 1-6b binary searching ADCs for processing quantized neural networks,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 71, no. 8, pp. 3672-3682, Aug. 2024.

Outlines

/